ncverilog: 06.11-s008: (c) Copyright 1995-2007 Cadence Design Systems, Inc. TOOL: ncverilog 06.11-s008: Started on Feb 10, 2016 at 17:34:20 EST ncverilog -c dff.v dff_tb.v ncvlog: *E,COFILE: cannot open source file 'dff.v'. ncvlog: *E,COFILE: cannot open source file 'dff_tb.v'. ncvlog: *F,NOTOPL: no top-level unit found, must have recursive instances. ncverilog: *E,VLGERR: An error occurred during parsing. Review the log file for errors with the code *E and fix those identified problems to proceed. Exiting with code (status 2). TOOL: ncverilog 06.11-s008: Exiting on Feb 10, 2016 at 17:34:20 EST (total: 00:00:00)